FPGA时钟分频:偶数分频、奇数分频、小数分频(含verilog源码)-程序员宅基地

技术标签: fpga开发  

前言

  FPGA分频器是一种常用于数字信号处理、通信系统、雷达系统等领域的电路,其作用是将信号时钟分成多个频频率。分频电路是数字电路中常见的逻辑电路类型。在时序逻辑电路中,时钟是必不可少的,但对于时钟要求不高的基本设计,自行设计的分频电路,也就是时钟分频器,有时候比采用外部PLL更为简单、有效、快速。本文将详细介绍FPGA分频器的设计方法,并附上Verilog代码示例,以供参考。

  分频器一般可以分为:偶数分频、奇数分频、小数分频。

1、偶数分频

(1)用D触发器级联实现

  将主时钟以2为幂次进行分割可以得到同步偶数分频时钟,即21,22,23…分频。电路上可采用D触发器实现,n个触发器可以构成2n次偶数分频。如图1所示,为2分频、4分频电路设计及波形。

(a)2分频电路及波形

(b)4分频电路及波形
图1 偶数分频电路设计

(2)用计数器实现

  用D触发器级联搭建分频电路只能实现2,4,8,16等分频,对于一般的偶数分频,可以通过计数器实现:若要实现N分频(N为偶数),只需将计数器在待分频时钟上升沿触发下循环计数,从0计数到(N/2 -1)后将输出时钟翻转即可实现。代码见工程Even_clk_freq_div。仿真图和代码如下:

	//N为偶数分频的值,实现50%占空比的偶数分频
	parameter N = 6;
	
	reg [2:0] cnt;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)begin
			cnt <= 3'd0;
			clk_out <= 1'b0;
		end
		else if (cnt == (N-1)/2)begin
			cnt <= 3'd0;
			clk_out <= ~clk_out;
		end
		else
			cnt <= cnt + 1'b1;

2、奇数分频

(1)占空比非50%的奇数分频

① 用Moore状态机实现

  以7分频为例,通过如图2所示Moore状态机即可实现输入时钟的7分频。

图2 7分频电路Moore状态机

  值得注意的是,上图实现的7分频的占空比并非50%。代码见工程Odd_clk_freq_div_Moore。仿真和代码截图如下:

parameter 	state_0 = 3'd0,
				state_1 = 3'd1,
				state_2 = 3'd2,
				state_3 = 3'd3,
				state_4 = 3'd4,
				state_5 = 3'd5,
				state_6 = 3'd6;
				
	reg [2:0] State,next_state;	
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			State <= state_0;
		else
			State <= next_state;
			
	always @(*)
		if (!rst_n)
			next_state <= state_0;
		else begin
			case (State)
				state_0	:	next_state = state_1;
				state_1	:	next_state = state_2;
				state_2	:	next_state = state_3;
				state_3	:	next_state = state_4;
				state_4	:	next_state = state_5;
				state_5	:	next_state = state_6;
				state_6	:	next_state = state_0;
				default	:	next_state = state_0;
			endcase
		end
		
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_out <= 1'b0;
		else begin
			case(next_state)
				state_0	:	clk_out <= 1'b0;
				state_1	:	clk_out <= 1'b0;
				state_2	:	clk_out <= 1'b0;
				state_3	:	clk_out <= 1'b0;
				state_4	:	clk_out <= 1'b1;
				state_5	:	clk_out <= 1'b1;
				state_6	:	clk_out <= 1'b1;
				default	:	clk_out <= 1'b0;
			endcase
		end

② 用计数器实现

  其实用状态机实现占空比非50%的分频电路还是比较麻烦的,可以采用计数器的方法:若要实现N分频(N为奇数),只需将计数器在待分频时钟上升沿触发下循环计数,从0计数到(N-1)后计数器清零。当计数到(N-1)/2后将输出时钟翻转,计数到0后再次翻转即可实现。代码见工程Odd_clk_freq_div0。仿真和代码如下:

 //N为奇数分频的值,实现非50%占空比的奇数分频
	parameter N = 7;
	
	reg [2:0] cnt;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt <= 3'd0;
		else if (cnt == (N-1))
			cnt <= 3'd0;
		else
			cnt <= cnt + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_out <=1'b0;
		else if (cnt == (N-1)/2)
			clk_out <= ~clk_out;
		else if (cnt == 3'b0)
			clk_out <= ~clk_out;
		else
			clk_out <= clk_out;

(2)占空比50%的奇数分频

  对于奇数分频,就是分别利用待分频时钟的上升沿触发生成一个时钟,然后用下降沿触发生成另一个时钟,然后将两个时钟信号进行或/与运算得到占空比为50%的奇数分频。上面一小节已经介绍了如何利用待分频时钟的上升沿触发生成占空比非50%的时钟,只需再类似地利用待分频时钟的下降沿触发生成占空比非50%的时钟。具体方法详述如下:

  ① 设计2个分别用上升、下降沿触发的计数器
定义2个计数器cnt_p和cnt_n,分别利用时钟的上升沿和下降进行触发计数

  ② 利用上升、下降沿计数器生成两个分频时钟clk_p和clk_n
定义2个时钟信号clk_p和clk_n, 对于上升沿计数器cnt_p,当计数到0或者(N-1)/2时,均翻转clk_p信号;对于下降沿计数器cnt_n,当计数到0或者(N-1)/2时,均翻转clk_n信号。

  ③ 利用clk_p和clk_n通过逻辑运算生成占空比为50%的分频时钟
若clk_p和clk_n初始复位为0,将2个时钟clk_p和clk_n通过或运算即可生成占空比为50%的分频时钟,且clk_out上升沿和原时钟上升沿对齐。代码见工程Odd_clk_freq_div1。仿真截图和代码如下:

//N为奇数分频的值,实现50%占空比的奇数分频
	parameter N = 7;
	reg [2:0] cnt_p, cnt_n;
	reg	clk_p;
	reg	clk_n;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt_p <= 3'd0;
		else if (cnt_p == (N-1))
			cnt_p <= 3'd0;
		else
			cnt_p <= cnt_p + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_p <=1'b0;
		else if (cnt_p == (N-1)/2)
			clk_p <= ~clk_p;
		else if (cnt_p == 3'b0)
			clk_p <= ~clk_p;
		else
			clk_p <= clk_p;
			
	//将clk_p打半拍得到clk_n
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt_n <= 3'd0;
		else if (cnt_n == (N-1))
			cnt_n <= 3'd0;
		else
			cnt_n <= cnt_n + 1'b1;
			
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_n <=1'b0;
		else if (cnt_n == (N-1)/2)
			clk_n <= ~clk_n;
		else if (cnt_n == 3'b0)
			clk_n <= ~clk_n;
		else
			clk_n <= clk_n;	
			
	assign clk_out = clk_p | clk_n;		              

详细的波形图如图3所示:

图3 占空比为50%的7分频电路波形生成

  还有一种更简便的方法只需要一个计数器cnt_p就可以,通过cnt_p产生clk_p时钟,然后直接用待分频时钟下降沿对时钟clk_p打半拍得到时钟clk_n,最后将clk_p和clk_n相或就可以得到占空比为50%的7分频时钟。代码见工程Odd_clk_freq_div,个人比较推荐这种写法,里面涉及到对信号打半拍,理解到了对学习FPGA大有帮助。下面是仿真结果图和代码截图。

//N为奇数分频的值,实现50%占空比的奇数分频
	parameter N = 7;
	
	reg [2:0] cnt;
	reg	clk_p;
	reg	clk_n;
	
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			cnt <= 3'd0;
		else if (cnt == (N-1))
			cnt <= 3'd0;
		else
			cnt <= cnt + 1'b1;
			
	always @(posedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_p <=1'b0;
		else if (cnt == (N-1)/2)
			clk_p <= ~clk_p;
		else if (cnt == 3'b0)
			clk_p <= ~clk_p;
		else
			clk_p <= clk_p;
			
	//将clk_p打半拍得到clk_n
	always @(negedge clk_in or negedge rst_n)
		if (!rst_n)
			clk_n <=1'b0;
		else
			clk_n <= clk_p;
			
	assign clk_out = clk_p | clk_n;

(3)利用基本逻辑单元直接搭建占空比为50%的奇数分频电路

  上面我们从波形生成方法及Verilog实现的角度思考了如何设计占空比为50%的奇数分频电路,这里我们直接从电路角度出发进行设计。首先思考如何用D触发器和组合逻辑实现占空比为50%的三分频电路?思路:先使用触发器构成序列生成器,输出001循环脉冲,实现占空比非50%的三分频,然后用负沿触发器打一拍,再相或。由于001循环共三个状态,故需2个D触发器。通过列状态表、画卡诺图,得到由两个D触发器及逻辑门构成的001序列生成器,后接负沿触发器打一拍并将其输出与序列生成器的输出相或,即得到占空比为50%的三分频电路。下面贴出三分频和五分频电路的简略设计思路及过程仅供参考,如图4、图5所示。

图4 三分频电路设计思路及过程

图5 五分频电路设计思路及过程

3、小数/分数分频

  小数分频电路可以转化为特定分频比电路设计问题。如19/9分频,意味着在输入时钟clk_in的19个周期内,输出需产生9个脉冲。因为19/9 = 2.11…, 因此可以用2分频和3分频配合实现,设待分频时钟的19个周期内共有x个二分频时钟周期,y个三分频时钟周期,则有:
x + y = 9 x+y=9 x+y=9
2 x + 3 y = 19 2x+3y=19 2x+3y=19
  解得 x = 8 , y = 1 x=8,y=1 x=8y=1。即只要在待分频时钟的19个周期内控制输出8个二分频时钟周期和1个三分频时钟周期即可。具体代码思路:

  1)首先一个总的计数器,在0-18循环;

  2)其次设计两个分别生成2分频和3分频的计数器,根据总计数器的数值范围分别在0-1和0-2循环;

  3)最后是波形生成逻辑,根据总计数器和2、3分频计数器的数值控制输出脉冲翻转生成期望分频比的时钟。

 reg [5:0] cnt;
	reg [3:0] cnt_a;
	reg [3:0] cnt_b;
	reg clk_out_reg;

	assign clk_out = clk_out_reg; 
	
	// div_a和div_b分别为根据文档公式计算出来的基准分频系数
	// change为2、3分频时钟的切换点
	parameter M = 5'd19;
	parameter change = 5'd16;
	parameter div_a = 5'd2;
	parameter div_b = 5'd3;
	
	//总计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			cnt <= 6'b0;
		else begin
			if(cnt == M - 1'b1)
				cnt <= 6'b0;
			else
				cnt <= cnt + 1'b1;
		end 

	
	//产生2、3分频的计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n) begin
			cnt_a <= 4'b0;
			cnt_b <= 4'b0;
		end 
		else if(cnt <= change - 1'b1) begin
			cnt_b <= 4'd0;
			if(cnt_a == div_a - 1'b1)
				cnt_a <= 4'd0;
			else
				cnt_a <= cnt_a + 1'b1;
		end 
		else if(cnt > change - 1'b1) begin
			cnt_a <= 4'd0;
			if(cnt_b == div_b - 1'b1)
				cnt_b <= 4'd0;
			else
				cnt_b <= cnt_b + 1'b1;
		end
	
	//输出时钟产生逻辑
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			clk_out_reg <= 1'b0;
		else if(cnt < change) begin
			if(cnt_a == 4'd0 || cnt_a == div_a/2)
				clk_out_reg <= ~clk_out_reg;
			else
				clk_out_reg <= clk_out_reg;
		end 
		else if(cnt >= change) begin
			if(cnt_b == 4'd0 || cnt_b == (div_b - 1'b1)/2)
				clk_out_reg <= ~clk_out_reg;
			else
			clk_out_reg <= clk_out_reg;
		end 

  上面代码的后段3分频是占空比非50%的,若要实现占空比50%,我们同样可以采取打半拍的方式并通过逻辑运算获得。代码和仿真结果如下,为了更好提现,这里实现20/9分频,通过公式计算得出在20个待分频时钟周期内,应该产生7个二分频脉冲和2个三分频脉冲。工程为Dec_Freq_Div_M_N。

  reg [5:0] cnt;
	reg [3:0] cnt_a;
	reg [3:0] cnt_b;
	reg clk_out_p;
	reg clk_out_n;
	assign clk_out = (cnt <= change) ? clk_out_p : (clk_out_p | clk_out_n); //产生占空比为50%的时钟
	
	// div_a和div_b分别为根据文档公式计算出来的基准分频系数
	// change为2、3分频时钟的切换点
	parameter M = 5'd20;
	parameter change = 5'd14;
	parameter div_a = 5'd2;
	parameter div_b = 5'd3;
	
	//总计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			cnt <= 6'b0;
		else begin
			if(cnt == M - 1'b1)
				cnt <= 6'b0;
			else
				cnt <= cnt + 1'b1;
		end 

	
	//产生2、3分频的计数器
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n) begin
			cnt_a <= 4'b0;
			cnt_b <= 4'b0;
		end 
		else if(cnt <= change - 1'b1) begin
			cnt_b <= 4'd0;
			if(cnt_a == div_a - 1'b1)
				cnt_a <= 4'd0;
			else
				cnt_a <= cnt_a + 1'b1;
		end 
		else if(cnt > change - 1'b1) begin
			cnt_a <= 4'd0;
			if(cnt_b == div_b - 1'b1)
				cnt_b <= 4'd0;
			else
				cnt_b <= cnt_b + 1'b1;
		end
	
	//输出时钟产生逻辑
	always @(posedge clk_in or negedge rst_n) 
		if(!rst_n)
			clk_out_p <= 1'b0;
		else if(cnt < change) begin
			if(cnt_a == 4'd0 || cnt_a == div_a/2)
				clk_out_p <= ~clk_out_p;
			else
				clk_out_p <= clk_out_p;
		end 
		else if(cnt >= change) begin
			if(cnt_b == 4'd0 || cnt_b == (div_b - 1'b1)/2)
				clk_out_p <= ~clk_out_p;
			else
			clk_out_p <= clk_out_p;
		end 
	//打半拍
		always @(negedge clk_in or negedge rst_n)
			if(!rst_n)
				clk_out_n <= 1'b0;
			else
				clk_out_n <= clk_out_p;

下图是本文的文件截图,有需要的话点击下面的链接获取:

FPGA完整工程和源码分享,点此跳转获取完整工程和源码

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/xxqlover/article/details/137999398

智能推荐

彻底扒光 通过智能路由器拆解看其本质-程序员宅基地

文章浏览阅读1.7k次。可以看到很多联发科的MT芯片摘自:https://net.zol.com.cn/531/5312999.html彻底扒光 通过智能路由器拆解看其本质2015-07-23 00:40:00[中关村在线 原创] 作者:陈赫|责编:白宁收藏文章 分享到 评论(24)关注智能路由器拆解的朋友们注意啦!我们已经将这五款产品彻底扒开,将主板的真容展现在了大家的眼前。网友们可以看见这些智能路由器主板的做工和用料,我们还为网友们展示了主要的电子元器件,供大家品评观赏。..._路由器拆解

Java--深入JDK和hotspot底层源码剖析Thread的run()、start()方法执行过程_jdk的源码hotspot跟jdk是分开的-程序员宅基地

文章浏览阅读2.1k次,点赞101次,收藏78次。【学习背景】今天主要是来了解Java线程Thread中的run()、start()两个方法的执行有哪些区别,会给出一个简单的测试代码样例,快速理解两者的区别,再从源码层面去追溯start()底层是如何最终调用Thread#run()方法的,个人觉得这样的学习不论对面试,还是实际编程来说都是比较有帮助的。进入正文~学习目录一、代码测试二、源码分析2.1 run()方法2.2 start()方法三、使用总结一、代码测试执行Thread的run()、start()方法的测试代码如下:public_jdk的源码hotspot跟jdk是分开的

透视俄乌网络战之一:数据擦除软件_俄乌网络战观察(一)-程序员宅基地

文章浏览阅读4.4k次,点赞90次,收藏85次。俄乌冲突中,各方势力通过数据擦除恶意软件破坏关键信息基础设施计算机的数据,达到深度致瘫的效果,同时窃取重要敏感信息。_俄乌网络战观察(一)

Maven私服仓库配置-Nexus详解_nexus maven-程序员宅基地

文章浏览阅读1.7w次,点赞23次,收藏139次。Maven 私服是一种特殊的Maven远程仓库,它是架设在局域网内的仓库服务,用来代理位于外部的远程仓库(中央仓库、其他远程公共仓库)。当然也并不是说私服只能建立在局域网,也有很多公司会直接把私服部署到公网,具体还是得看公司业务的性质是否是保密的等等,因为局域网的话只能在公司用,部署到公网的话员工在家里也可以办公使用。_nexus maven

基于AI的计算机视觉识别在Java项目中的使用 (四) —— 准备训练数据_java ocr ai识别训练-程序员宅基地

文章浏览阅读934次。我先用所有的样本数据对模型做几轮初步训练,让深度神经模型基本拟合(数万条记录的训练集,识别率到99%左右),具备初步的识别能力,这时的模型就是“直男”。相较于训练很多轮、拟合程度很高的“油腻男”,它的拟合程度较低,还是“直男愣头青”。..............._java ocr ai识别训练

hibernate 数据库类型 date没有时分秒解决_hibernate解析時間只有年月日沒有時分秒-程序员宅基地

文章浏览阅读688次。一、问题现象:  在数据库表中日期字段中存的日期光有年月日,没有时分秒。二、产生原因:三 解决办法   检查表的相应映射xml文件。 <property name="operateDate" type="Date">如果同上面所写,那问题出在 type类型上了正确写法 :<property name="operateDate" type="java.util..._hibernate解析時間只有年月日沒有時分秒

随便推点

springbbot运行无法编译成功,找不到jar包报错:Error:(3, 46) java: 程序包org.springframework.context.annotation不存在-程序员宅基地

文章浏览阅读1k次,点赞2次,收藏2次。文章目录问题描述:解决方案:问题描述:提示:idea springbbot运行无法编译成功,找不到jar包报错E:\ideaProject\demokkkk\src\main\java\com\example\demo\config\WebSocketConfig.javaError:(3, 46) java: 程序包org.springframework.context.annotation不存在Error:(4, 46) java: 程序包org.springframework.conte_error:(3, 46) java: 程序包org.springframework.context.annotation不存在

react常见面试题_recate面试-程序员宅基地

文章浏览阅读6.4k次,点赞6次,收藏36次。1、redux中间件中间件提供第三方插件的模式,自定义拦截 action -&gt; reducer 的过程。变为 action -&gt; middlewares -&gt; reducer 。这种机制可以让我们改变数据流,实现如异步 action ,action 过滤,日志输出,异常报告等功能。常见的中间件:redux-logger:提供日志输出redux-thunk:处理异步操作..._recate面试

交叉编译jpeglib遇到的问题-程序员宅基地

文章浏览阅读405次。由于要在开发板中加载libjpeg,不能使用gcc编译的库文件给以使用,需要自己配置使用另外的编译器编译该库文件。/usr/bin/ld:.libs/jaricom.o:RelocationsingenericELF(EM:40)/usr/bin/ld:.libs/jaricom.o:RelocationsingenericELF(EM:40)...._jpeg_utils.lo: relocations in generic elf (em: 8) error adding symbols: file

【办公类-22-06】周计划系列(1)“信息窗” (2024年调整版本)-程序员宅基地

文章浏览阅读578次,点赞10次,收藏17次。【办公类-22-06】周计划系列(1)“信息窗” (2024年调整版本)

SEO优化_百度seo resetful-程序员宅基地

文章浏览阅读309次。SEO全称为Search Engine Optimization,中文解释为搜索引擎优化。一般指通过对网站内部调整优化及站外优化,使网站满足搜索引擎收录排名需求,在搜索引擎中提高关键词排名,从而把精准..._百度seo resetful

回归预测 | Matlab实现HPO-ELM猎食者算法优化极限学习机的数据回归预测_猎食者优化算法-程序员宅基地

文章浏览阅读438次。回归预测 | Matlab实现HPO-ELM猎食者算法优化极限学习机的数据回归预测_猎食者优化算法